DSpace Repository

AsyncBTree: Revisiting Binary Tree Topology for Efficient FPGA-Based NoC Implementation

Show simple item record

dc.contributor.author Kizheppatt, Vipin
dc.date.accessioned 2019-12-11T08:17:23Z
dc.date.available 2019-12-11T08:17:23Z
dc.date.issued 2019-02-07
dc.identifier.citation Vipin, K. (2019). AsyncBTree: Revisiting Binary Tree Topology for Efficient FPGA-Based NoC Implementation. International Journal of Reconfigurable Computing, 2019. en_US
dc.identifier.uri https://www.hindawi.com/journals/ijrc/2019/7239858/
dc.identifier.uri http://nur.nu.edu.kz/handle/123456789/4370
dc.description.abstract Binary tree topology generally fails to attract network on chip (NoC) implementations due to its low bisection bandwidth. Fat trees are proposed to alleviate this issue by using increasingly thicker links to connect switches towards the root node. This scheme is very efficient in interconnected networks such as computer networks, which use generic switches for interconnection. In an NoC context, especially for field programmable gate arrays (FPGAs), fat trees require more complex switches as we move higher in the hierarchy. This restricts the maximum clock frequency at which the network operates and offsets the higher bandwidth achieved through using fatter links. In this paper, we discuss the implementation of a binary tree-based NoC, which achieves better bandwidth by varying the clock frequency between the switches as we move higher in the hierarchy. This scheme enables using simpler switch architecture, thus supporting higher maximum frequency of operation. The effect on bandwidth and resource requirement of this architecture is compared with other FPGA-based NoCs for different network sizes and traffic patterns. en_US
dc.language.iso en en_US
dc.publisher Nazarbayev University School of Engineering and Digital Sciences en_US
dc.rights Attribution-NonCommercial-ShareAlike 3.0 United States *
dc.rights.uri http://creativecommons.org/licenses/by-nc-sa/3.0/us/ *
dc.title AsyncBTree: Revisiting Binary Tree Topology for Efficient FPGA-Based NoC Implementation en_US
dc.type Article en_US
workflow.import.source science


Files in this item

The following license files are associated with this item:

This item appears in the following Collection(s)

Show simple item record

Attribution-NonCommercial-ShareAlike 3.0 United States Except where otherwise noted, this item's license is described as Attribution-NonCommercial-ShareAlike 3.0 United States